歡迎您光臨本站 登入註冊首頁

FPGA的按鍵彈跳消除模塊的研究與應用

admin @ ,    view:23772    reply:0

   按鍵在數字電路設計中經常用到。按鍵的彈跳現象是數字系統設計中存在的客觀問題。按鍵是機械觸點,當接觸點斷開或閉合時會產生抖動。為使每一次按鍵只做一次響應,就必須去除抖……...

Nios II 中Flash的使用

admin @ ,    view:11222    reply:0

  在嵌入式系統中,Flash是最常用組件之一。許多使用過flash的朋友都了解,Flash的特點是“讀來容易寫來難”。通常,可以直接讀出Flash的內容;……...

基於MATLAB在IIR濾波器的設計與模擬

admin @ ,    view:7086    reply:0

1引言   在現代通信系統中,由於信號中經常混有各種複雜成分,所以很多信號的處理和分析都是基於濾波器而進行的。但是,傳統的數字濾波器的設計使用繁瑣的公式計算,改變參數后需……...

Nios II 軟體代碼優化方法

admin @ ,    view:2744    reply:0

 Altera公司的NiosII軟核處理器具有完全可定製特性、高性能、較低的產品和實施成本、易用性、適應性以及不會過時等優勢。使用NiosII處理器,將不會局限於預先製造的處理器技術,而是根據用戶的標……...

基於Verilog HDL語言的帶左轉複雜交通燈設計

admin @ ,    view:2104    reply:0

1引言   EDA技術是依靠功能強大的電子計算機,在EDA工具軟體平台上,對以硬體描述語言HDL(HardwareDescriptionLanguage)為系統邏輯描述手……...

高速FPGA系統的信號完整性測試和分析

admin @ ,    view:3057    reply:0

1.引言   隨著FPGA的設計速度和容量的明顯增長,當前流行的FPGA晶元都提供高速匯流排,例如DDR內存匯流排,PCI-X匯流排、SPI匯流排;針對超高速的數據傳輸,……...

Modelsim、Synplify.Pro、ISE設計全流程

admin @ ,    view:2797    reply:0

第一章Modelsim編譯Xilinx庫本章介紹如何編譯HDL必須的Xilinx庫和結構模擬。創建將被編譯庫的目錄在編譯庫之前,最好先建立一個目錄(事實上必須建立一個目錄),步驟如下。(假設Model……...

Nios II的USB介面模塊設計

admin @ ,    view:1945    reply:0

1. 引言   隨著電路規模越來越大,片上系統(SoC)已經成為IC設計的發展趨勢,相應地也有了更加靈活的片上可編程系統(SOPC)。NiosIICPU是一個基……...

FPGA字元型LCD模塊控制

admin @ ,    view:3778    reply:0

字元型LCD模塊價格便宜且便於和單片機或者FPGA連接。下面是一個1X16的字元型LCD模塊。 為了控制LCD模塊,一共需要11個引腳,其中包括8根數據線和3跟控制信號。這3個控制信號分別為……...

基於PSpice的四階有源帶通濾波器的統計優化設計

admin @ ,    view:1907    reply:0

   PSpice作為功能強大的EDA電子模擬軟體,不僅能對電路進行基本性能的分析和驗證,還可以進行優化分析和綜合統計分析,實現從電路的設計、性能分析、參數優化到電路板製……...