歡迎您光臨本站 登入註冊首頁

關於NIOS II對FLASH的支持情況

admin @ ,    view:1564    reply:0

   哥們我也是第一次正兒八經搞NIOSII,很多奇怪的問題都被俺碰上了,從頭到尾一共花了將近一個月,終於把NIOS給活生生跑起來了。雖然浪費了很多時間,但經驗值了提高了……...

FPGA按鍵防抖

admin @ ,    view:2264    reply:0

如果你連接了一個機械鍵盤到FPGA,那麼你可能會碰到一些問題。這裡我們按下鍵盤10次,希望LED顯示00000010,但最後的結果如下... 按鍵防抖項目這個項目包括兩個部分:第一部分:問題……...

FPGA的VHDL設計策略

admin @ ,    view:1878    reply:0

1 概述   目前,可編程邏輯器件(PLD)的密度、速度和I/O介面已經可以滿足大多數的數字ASIC的設計要求,因而得到了越來越廣泛的使用.同時,所涉及的門數已經從幾百門……...

VHDL語言程序配置EAB的研究

admin @ ,    view:2165    reply:0

摘要:在FPGA(現場可編程門陣列)的使用中,要將VHDL(甚高速集成電路硬體描述語言)編寫的程序配置到EAB(嵌入式陣列塊)單元中,會遇到許多問題,配置很難成功。根據配置EAB的經驗,詳細介紹了正確……...

VHDL中語句使用問題探討

admin @ ,    view:1783    reply:0

   VHDL語言是IEEE工業標準硬體描述語言,它具有很強的行為描述能力,具有支持大規模設計的分解和已有設計的再利用功能.與原理圖輸入方式相比較,用語言的方式描述硬體電……...

常用邏輯電平電路的特點

admin @ ,    view:6750    reply:0

摘要:LVDS、ECL、CML等是目前應用較多的幾種用於高速傳輸的邏輯電平。本文介紹每種邏輯電平的介面原理、特點、設計及應用場合,歸納比較它們的特性,最後舉例說明不同邏輯電平之間的互連。引言 在通用的……...

Nios II按鍵中斷調試程序及經驗

admin @ ,    view:4952    reply:0

軟體:QuatusII6.1,NiosII6.1硬體:EP1C3T144FPGA開發板實驗目的:熟悉niosII開發,掌握中斷程序調試系統配置:CPU+OnChipRam(4K)+LED_PIO+BU……...

Nios II程序下載到Flash的方法

admin @ ,    view:6010    reply:0

   我們在調試完NiosII系統后,接下來的工作就是要把程序固化到NORFlash(以下簡稱Flash)中,讓其上電后自動運行。但是這又該如何做呢?我想大家一定會想到使……...

基於Nios的DDS高精度信號源實現

admin @ ,    view:1622    reply:0

摘要:直接數字頻率合成器DDS具有極高的解析度、頻率轉換速度快、相位雜訊低等優點。以ALTERA公司的CPU軟核Nios為基礎,利用QuartusII軟體和SopcBuilder,採用兩級DDS和動態……...

基於SOPC的FIR數字濾波器的設計

admin @ ,    view:1804    reply:0

摘要:為了探討如何優化設計數字濾波器系統課題,本文首次提出了利用可編程的片上系統(SOPC)技術,採用基於SOPC的軟硬體協同開發方法,設計了一個高速的、軟體靈活配置的一個片上FIR系統,同時給出了硬……...