歡迎您光臨本站 登入註冊首頁

Xilinx ISE 使用入門

admin @ 2014-03-26 , reply:0

概述

1、ISE的安裝   現以ISE5.2i為例介紹XilinxISESeries的安裝過程。1)系統配置要求   ISE5.2i推薦的系統配置……

1、ISE的安裝
    現以ISE 5.2i為例介紹Xilinx ISE Series的安裝過程。
1)系統配置要求
    ISE 5.2i推薦的系統配置與設計時選用的晶元有關。因為在綜合與實現過程中運算量非常大,所以對系統配置要求很高。為了提高綜合、模擬、實現過程的速度,對於計算機的CPU的主頻、主板和硬碟的工作速度,尤其是內存大小配置都有非常高的要求。在ISE 5.2i支持的所有Xilinx的FPGA/CPLD中,要求最低的Spartan II和XC9500/XL/XV等系列需要的內存和虛擬內存推薦值均達到128MB,而對於Virtex-II XC2V8000來說,需要的內存和虛擬內存推薦值均高達3GB。

2)ISE 5.2i的安裝
    以中文版Windows XP操作系統為例加以說明。
(1)啟動Windows XP,插入ISE5.2i安裝光碟,自動或選擇執行Install.exe,安裝界面如圖4.25所示。
 
圖4.25 ISE5.2i安裝界面
(2)單擊此時安裝界面上的操作選擇“下一步”直到出現圖示對話框,輸入有效的Registration ID。之後單擊“下一步”選擇安裝路徑;再之後點擊“下一步”,會彈出圖4.26的對話框,可以選擇器件模型。
 
圖4.26 器件模型選擇對話框
(3)點擊“下一步”,如圖4.27所示,可以選擇器件種類。
 
圖4.27 器件種類選擇對話框
    通過以上步驟后,可根據具體情況來選擇,繼續“下一步”即可完成安裝。
    安裝完成後,環境變數應作如下描述:
若操作系統是Windows NT/2000/XP,選擇開始->控制面板->系統->選項->系統->高級->環境變數,在環境變數中加入:
變數名:Xilinx
變數值:C:Xilinx(即安裝路徑)
具體設置如圖4.28所示。
 
圖4.28 環境變數設置操作圖
3)安裝第三方軟體
    在PC上安裝完ISE之後,還需要安裝第三方模擬軟體,如ModelSim等。

2 ISE工程設計流程
    下面主要概述ISE的基本開發流程以及在開發過程中的各個階段需要用到的工具軟體。
    圖4.29 說明了利用Xilinx公司的ISE開發設計軟體的工程設計流程,具體分為五個步驟:即輸入(Design Entry)、綜合(Synthesis)、實現(Implementation)、驗證(Verification)、下載(Download)。
 
圖4.29 ISE的工程設計流程
1)圖形或文本輸入(Design Entry)
    圖形或文本輸入包括原理圖、狀態機、波形圖、硬體描述語言(HDL),是工程設計的第一步,ISE集成的設計工具主要包括HDL編輯器(HDL Editor)、狀態機編輯器(StateCAD)、原理圖編輯器(ECS)、IP核生成器(CoreGenerator)和測試激勵生成器(HDL Bencher)等。
    常用的設計輸入方法是硬體描述語言(HDL)和原理圖設計輸入方法。原理圖輸入是一種常用的基本的輸入方法,其是利用元件庫的圖形符號和連接線在ISE軟體的圖形編輯器中作出設計原理圖,ISE中設置了具有各種電路元件的元件庫,包括各種門電路、觸發器、鎖存器、計數器、各種中規模電路、各種功能較強的宏功能塊等用戶只要點擊這些器件就能調入圖形編輯器中。這種方法的優點是直觀、便於理解、元件庫資源豐富。但是在大型設計中,這種方法的可維護性差,不利於模塊建設與重用。更主要的缺點是:當所選用晶元升級換代后,所有的原理圖都要作相應的改動。故在ISE軟體中一般不利用此種方法。
    為了克服原理圖輸入方法的缺點,目前在大型工程設計中,在ISE軟體中常用的設計方法是HDL設計輸入法,其中影響最為廣泛的HDL語言是VHDL和Verilog HDL。它們的共同優點是利於由頂向下設計,利於模塊的劃分與復用,可移植性好,通用性強,設計不因晶元的工藝和結構的變化而變化,更利於向ASIC的移植,故在ISE軟體中推薦使用HDL設計輸入法。
    波形輸入及狀態機輸入方法是兩種最常用的輔助設計輸入方法,使用波形輸入法時,只要繪製出激勵波形的輸出波形,ISE軟體就能自動地根據響應關係進行設計;而使用狀態機輸入時,只需設計者畫出狀態轉移圖,ISE軟體就能生成相應的HDL代碼或者原理圖,使用十分方便。其中ISE工具包中的StateCAD就能完成狀態機輸入的功能。但是需要指出的是,后兩種設計方法只能在某些特殊情況下緩解設計者的工作量,並不適合所有的設計。

2)綜合(Synthesis)
    綜合是將行為和功能層次表達的電子系統轉化為低層次模塊的組合。一般來說,綜合是針對VHDL來說的,即將VHDL描述的模型、演算法、行為和功能描述轉換為FPGA/CPLD基本結構相對應的網表文件,即構成對應的映射關係。
    在Xilinx ISE中,綜合工具主要有Synplicity公司的Synplify/Synplify Pro,Synopsys公司的FPGA Compiler II/ Express, Exemplar Logic公司的 LeonardoSpectrum和Xilinx ISE 中的XST等,它們是指將HDL語言、原理圖等設計輸入翻譯成由與、或、非門,RAM,寄存器等基本邏輯單元組成的邏輯連接(網表),並根據目標與要求優化所形成的邏輯連接,輸出edf和edn等文件,供CPLD/FPGA廠家的布局布線器進行實現。

3)實現(Implementation)
    實現是根據所選的晶元的型號將綜合輸出的邏輯網表適配到具體器件上。Xilinx ISE的實現過程分為:翻譯(Translate)、映射(Map)、布局布線(Place & Route)等3個步驟。
    ISE集成的實現工具主要有約束編輯器(Constraints Editor)、引腳與區域約束編輯器(PACE)、時序分析器(Timing Analyzer)、FPGA底層編輯器(FGPA Editor)、晶元觀察窗(Chip Viewer)和布局規劃器(Floorplanner)等。

4)驗證(Verification)
    驗證(Verification)包含綜合后模擬和功能模擬(Simulation)等。功能模擬就是對設計電路的邏輯功能進行模擬測試,看其是否滿足設計要求,通常是通過波形圖直觀地顯示輸入信號與輸出信號之間的關係。
    綜合后模擬在針對目標器件進行適配之後進行,綜合后模擬接近真實器件的特性進行,能精確給出輸入與輸出之間的信號延時數據。
ISE可結合第三方軟體進行模擬,常用的工具如Model Tech公司的模擬工具ModelSim和測試激勵生成器HDL Bencher ,Synopsys公司的VCS等。通過模擬能及時發現設計中的錯誤,加快設計中的錯誤,加快設計進度,提高設計的可靠性。
每個模擬步驟如果出現問題,就需要根據錯誤的定位返回到相應的步驟更改或者重新設計。

5)下載(Download)
    下載(Download)即編程(Program)設計開發的最後步驟就是將已經模擬實現的程序下載到開發板上,進行在線調試或者說將生成的配置文件寫入晶元中進行測試。在ISE中對應的工具是iMPACT。

[admin via 研發互助社區 ] Xilinx ISE 使用入門已經有5212次圍觀

http://cocdig.com/docs/show-post-43043.html