歡迎您光臨本站 登入註冊首頁

Debussy使用技巧

admin @ 2014-03-26 , reply:0

概述

這部分我們通過一個個專題講述如何使用Debussy,其中涉及到的例子可以在debussy的安裝目錄下的/demo可以找到。(一)如何載入設計?方式1:命令行載入設計>debussy–……

這部分我們通過一個個專題講述如何使用Debussy,其中涉及到的例子可以在debussy 的安裝目錄下的/demo 可以找到。

(一) 如何載入設計
方式1:命令行載入設計
> debussy –f run.f &
方式2:菜單命令載入
> debussy (運行調用debussy,出現nTrace 界面)
File-> Import Design
選中From File 欄
選中run.f,點擊ADD,再點擊OK。
上面兩種方式Debussy 都會自動編譯run.f 中的所有源文件,而且run.f 文件支持-v這種選項,這樣在調用ASIC 的時候可以自動載入使用到的庫元件。編譯完所有文件之後信息窗口就會顯示編譯信息,可以通過調用File->ViewImport Log 在獨立的窗口查看詳細的信息報告。

(二) 如何調用波形窗口和載入波形文件
nTrace 中左上角(如圖紅色圈內)分別是波形窗口,原理圖窗口和源代碼窗口的啟動鍵,點擊即可啟動一個新的窗口。
 
啟動波形窗口之後,選擇File->Open,就可以打開波形文件,默認方式是fsdb 格式的波形文件,也可以選擇vcd 格式的,debussy 在載入的時候自動轉換格式。從nTrace的層次窗口或者源代碼窗口中選中某個模塊或者某個信號(使用中鍵)拉弋到波形窗口中即可顯示對模塊的IO 信號或者對應的信號。注意debussy 的波形窗口默認不顯示信號的層次結構。也可以通過波形窗口中的Signal->Get Signals 或者點擊Get Signal 圖標來添加信號。

(三) 如何在波形窗口,源代碼窗口中追溯信號的驅動源
在波形窗口中我們可以通過雙擊信號的波形來追溯信號的驅動源,從而定位錯誤出現的位置。在源代碼窗口中可以通過雙擊信號的名字來追溯該信號的驅動源。在源代碼窗口中也可以通過右鍵菜單來實現這個功能。在窗口中選擇Trace->Reset Traced Signals’s Color 可以取消追溯過程中帶來的信號高亮度的問題。一般情況下我們是從信號的波形圖中某一時刻的波形異常處雙擊該波形,追溯到源代碼窗口中的對應賦值語句,從而找到該時刻的驅動源。

(四) 如何讓波形,源代碼和原理圖三個窗口同步顯示
我們可以通過選擇Tool->Options->Sync Signal Selection,來同步所有窗口中的信號。一旦你在nWave 或者源代碼窗口或者原理圖窗口選擇了任何一個信號,其他兩個窗口也會同步顯示該信號。

(五) 如何反標信號數值到源代碼和原理圖窗口中
在很多調試情況下,在源代碼窗口顯示信號或者匯流排的數值也有一定的好處。nTrace提供了這樣一個功能,Source->Active Annotation。信號值顯示Cursor 當前時刻的數值。在原理圖窗口中也可以反標,Schematic->Active Annotation。

(六) 如何保存當前調試的所有設置和界面
在你啟動debussy 到退出debussy 之前是一段很長的調試過程,你可以保存當前的調試環境,並在下次調用debussy 的時候使用。Debussy 自動把當前的調試環境保存在工作目錄下的DebussyLog 的lastSession.ses 中。File->Save Session 保存當前調試狀態,下次啟動后File->Restore Session.

(七) 在波形窗口的信號名一欄中使用中鍵確定添加信號的切入位置

(八) 如何使用Cursor 和Marker
nWave 的波形窗口中默認有一個Cursor (黃色)和一個Marker (白色),左鍵是Cursor,中鍵是Marker,在波形窗口中右鍵是放大Cursor 和Marker 之間波形的快捷鍵。默認情況下Cursor 和Marker 都是停留在信號的Transition 處,你可以通過點擊Wave->Snap Cursor To Transitions 關閉或者啟動這個功能。

(九) 如何給信號起別名
在某些情況下,為了分析方便,如分析狀態機狀態跳變,我們可以通過使用起別名的方法。通過使用Waveform->Signal Value Radix ->Add Alias from File 或者Waveform->Signal Value Radix ->Browse Alias 命令來實現。
在這裡我們張貼出demo 程序中的一個CPU 狀態機的alias 文件,供大家使用參考:
CLRA     8'h04
LDA        8'h14
LDA-    8'h18
STA        8'h28
STA-    8'h2C
ADDA     8'h34
ADDA- 8'h38
SUBA     8'h48
INCA      8'h08
LDX        8'h54
TAX        8'h0C
JMP        8'h8C
BNZ        8'h64
DATA      8'h20

(十) 如何自定義匯流排信號
創建自己想要的匯流排信號,如在16bit 的匯流排中,你只想看到其中的偶數位的匯流排,或者你想把幾條信號合併成一條匯流排信號,都可以在debussy 中輕鬆實現。選中要操作的信號或者匯流排,Signal->Create Bus.

(十一) 如何保存信號列表
在調試的過程中,你或許會打開很多窗口,同時你也會添加了很多信號,在下次調試的時候你仍然希望添加這些信號,可以通過保存信號的來實現這個想法。
File->Save Signal,把信號保存在*.rc 的文件中,下次打開模擬結果,通過Flie->Restore Signal 就可以看到希望的信號了。

(十二) 如何使用信號網格
在某些情況下,通過Cursor 和Maker 來計算Delta 時間是很難估算出兩個信號(或者是信號的邊沿)之間的周期數的,你可以選中信號的驅動時鐘,通過添加網格線的方法來實現周期數的計算。View->Grid on Rising Edge,這樣子網格線就會出現在每個周期中,View->Grid Count 來顯示從Cursor 到每個網格線的網格個數。

(十三) 如何同步兩個波形窗口
使用兩個窗口來分析兩個相關的模擬結果。為了保持兩個模擬數據處於同一個參考點,我們可以選擇Windows->Sync Waveform View 來實現。取消這個設置可以重新選擇這個選項。

(十四) 如何顯示模擬波形
在波形窗口中File->Open ,通過修改文件過濾為*.*,打開PowerMill 輸出的powermill.out 格式的文件結果。其他操作類似數字波形。

(十五) 如何在同一個窗口中觀察不同的模擬結果
nWave 允許在一個窗口中顯示多個模擬結果。首先打開不同的模擬結果。在添加信號之前,激活你想看的模擬結果,File->Set Active 轉換到對應的模擬結果上。


[admin via 研發互助社區 ] Debussy使用技巧已經有6536次圍觀

http://cocdig.com/docs/show-post-43094.html