歡迎您光臨本站 登入註冊首頁

在Modelsim se中創建altera模擬庫

admin @ 2014-03-26 , reply:0

概述

 1. 路徑選擇 啟動modelsim se模擬工具,在主窗口中選擇【file】→【change directory】命令,將工作目錄改變到……
 1. 路徑選擇 
啟動modelsim se模擬工具,在主窗口中選擇【file】→【change directory】命令,將工作目錄改變到你想存放模擬庫的目錄,點擊【ok】. 


2. 創建模擬庫 
在生窗口中選擇【file】→【new】→【library】命令,在彈出的【create a new library】窗口中將選項【create】設置為【a new library and a logical mapping to it】,在【libryr name】和【library physical name】中鍵入所要創建庫名字,如altera_library, ,此時在主窗口中已多了一個 altera_library(empty)項; 注:這個過程實質上想當於在modelsim主窗口中的腳本區域中輸入了vlib和vmap命令. 


3. 編譯庫 
在workspace 中的library中選中你健入的庫名altera_library(empty),在主菜單中選【compile】→【compile】命令;在彈出窗口compile source file窗口中的【libaray】下拉菜單中選中你的庫名,在【查找範圍】中選擇quarturs安裝目錄\ quarturs\eda\sim_lib文件夾下,對它下面的8個文件進行編譯,一要編譯兩次或分兩次編譯,方法一:先選8個文件,點擊【compile】,這次有錯出象,完成後再點擊【compile】,編譯成功, 點擊【done】;方法二:先編譯220pack,再編譯 altera_mf_componenta.vhd,然後編譯其它6個文件, 點擊【done】


4. 配製modelsim
將modelsim 根目錄下的配製文件modelsim.ini的屬性只讀改為可寫,這可使軟體記錄模擬庫的路徑以及映射關係,以後每次啟動modelsim時,就會根據 ini文件中的本身尋找模擬庫,並且形成映射關係, 注:如果啟動時出象”模擬庫名(unavailable)”可選中它,點右鍵選擇【edit】指定路徑; 到些模擬庫已創建,以後對altera設計模擬都不需要做庫處理了;

5. 后模擬配製
如果要做后模擬;就要把你用的系列庫和quartursii生成文件一起編譯即可,例如你用的是altera的max7000比利時列,就要加quartursii安裝目錄\ quarturs\eda\sim_lib下的max_atoms;vmax_atoms.vhd;max_components.vhd加這三個文件一起編譯; 注:在做模擬前建project時,在項目窗口default library name項中鍵入quartursii生成模擬文件的默認名, 如max7000系列默認名max。

[admin via 研發互助社區 ] 在Modelsim se中創建altera模擬庫已經有4554次圍觀

http://cocdig.com/docs/show-post-43424.html