歡迎您光臨本站 登入註冊首頁

Quartus II 調用ModelSim 模擬

admin @ 2014-03-26 , reply:0

概述

   下面是基於在AlteraQuartusII下如何調用ModelSim進行模擬的一個實例。   不能否認,QuartusII(我用的7.……

    下面是基於在Altera Quartus II 下如何調用ModelSim 進行模擬的一個實例。
    不能否認,Quartus II(我用的7.0)無法像ISE 那樣方便的直接調用ModelSim,而是需要額外的做一些工作。這確實給我們的模擬調試帶來了一些不便。特權同學也是在摸索了好久以後才徹底搞定這個問題,下面分享下,力求明白易懂,讓大家少走一些彎路。

1、 打開Quartus II,新建一個工程,工程代碼如下(只是做一個簡單的二分頻電路):
module modelsim_test(
clk,rst_n,div
);
input clk; //系統時鐘
input rst_n; //複位信號,低有效
output div; //2 分頻信號
reg div;
always @ (posedge clk or negedge rst_n)
if(!rst_n) div <= 1'b0;
else div <= ~div;
endmodule

2、 進入菜單欄的Assignments􀃆EDA Tool Settings,進入如下界面:

雙擊“EDA tools”下的“Simulation”選項,彈出如下界面:
 
點擊Tool name 最右側的下拉條,選擇“ModelSim”,在彈出的界面做如下設置:
 

3、 以上設置完成,重新編譯工程。
    打開工程目錄,看到多了一個“simulation”文件夾,再打開該文件夾下的“modelsim”文件夾。看到有三個文件,其中.vo 文件就是我們的代碼布局布線信息。模擬還需要幾個文件,我們把它們都拷貝到該目錄下。

4、 打開“C:altera70quartusedasim_lib”(具體quartus 軟體安裝文件的根目錄視您的實際情況而定,大體路徑都一樣),因為我們用的是MAX II器件,所以把“maxii_atoms.v”拷貝到3 中提到的目錄下,這個文件是模擬元件庫。

[admin via 研發互助社區 ] Quartus II 調用ModelSim 模擬已經有8296次圍觀

http://cocdig.com/docs/show-post-42988.html